iverilog

v 11.0 Updated: 2 years, 5 months ago

Icarus Verilog

Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code writen in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate C++ code that is compiled and linked with a run time library (called "vvm") then executed as a command to run the simulation. For synthesis, the compiler generates netlists in the desired format.

http://iverilog.icarus.com/

To install iverilog, paste this in macOS terminal after installing MacPorts

sudo port install iverilog

Add to my watchlist

Installations 8
Requested Installations 3