veryl

v 0.8.1 Updated: 1 month, 1 week ago

Veryl: A Modern Hardware Description Language

Veryl: A Modern Hardware Description Language. Veryl is designed as a "SystemVerilog Alternative". There are some design concepts: it has a simplified syntax based on SystemVerilog/Rust, transpiles to SystemVerilog, generates human-readable SystemVerilog code, and comes with integrated tools like a formatter/linter, and integrates with VSCode.

https://github.com/veryl-lang/veryl

To install veryl, paste this in macOS terminal after installing MacPorts

sudo port install veryl

Add to my watchlist

Installations 0
Requested Installations 0